Contact Us  |  Terms  |  Imprint  |  Privacy     
 Fast  FIND      full search   tips    
  Specials  |  Listings:   Offered / Wanted / Both     |  Spare Parts Home     
Serving  Our Guest Log in    Register to speed trading
 MENU OF PRODUCT CATEGORIES   View   Search-by-Specs   
View All Offers Under

ALL CATEGORIES


» Switch Major Category
Click an item's ID# below for its full specifications , or:

Group Offers into sub-categories under ALL CATEGORIESGroup Offers into sub-categories under ALL CATEGORIES

List all 407 product types under ALL CATEGORIESList all 407 product types under ALL CATEGORIES


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
Displaying 1-100 of 243  Page  No Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Short Description Product Type / Details # Price Notes
Make Model
   
209845
200mm THIN WAFER Loader NSX 

List all items of this typeTest & Measurement - Other

in Test & Measurement Equipment

200mm THIN WAFER Loader NSX:

200mm THIN WAFER Loader NSX

“NIDEC SANKYO Corporation” , Model: “SR8220-019”, SN. „FR00891253“

1  
240516
2x inker machine Scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

2x inker machine Scrap:

1: inker machine will be replace by die sorter machine 

2: machine can't  work and repair

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.
9F429100106240Tsf_Wafer Prober_Electroglas_8"85000109070 -01;#8820000279;ECCN:EAR99INK-12
9F429100110680Tsf_Wafer Prober_APR-186(old)8500110549-03;INV#8820000333;ECCN:EAR99INK-14
2  
248400
3x datacon scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

3x datacon scrap:

Based on the VRFC&TCR plan, we will gradually scrap CC FCOS datacon lines. 1x datacon scrap in Mar’24 and 2x datacon (1x production & 1x back up) scrap in Oct’24

PIO Asset#Old asset#
30400800318010004086
30400800326610004857
30400800326010004811
3   N*
239374
3x Microscope scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

3x Microscope scrap:

 

AssetSNo.Asset name
100042990Leica S5E  Microscope
100055140Carton SPZ50 Microscope
100066430Leica MS5 Microscope

these are withdraw parts from scrapped machine and the spare parts tear down, currently all have worn out for scrap

3  
236797
ACB35 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

ACB35 scrap:

S128-S131 machine Fix Asset scrap, based on OPC scrap plan,UTC5100 replace ACB35 machine

4  
237203

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Both Tubes are in good condition.

Last maintenance was in Dezember 2021.

Tube 1D was last used January 2022

Tube 2D was last used August 2022

Tool is in original condition

1  
237239

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Reactor 2 is still in Production

Both Tubes are in good condition.

Last maintenance was in Dezember 2022.

Heating Cassette from 1D is broken.

Tool is in original condition

 

1  
241317

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003046

MFG date: Oct, 2002

Asset : T-104294-0

DUT : 64

1 $ 0.00
241318

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003068

MFG date: Dec, 2002

Asset : T-104296-0

DUT : 64

1 $ 0.00
241319

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003070

MFG date: Dec, 2002

Asset : T-104300-0

DUT : 64

1 $ 0.00
241320

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003175

MFG date: Dec, 2002

Asset : T-104378-0

DUT : 64

1 $ 0.00
241321

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003531

MFG date: Apr, 2003

Asset : T-106443-0

DUT : 64

1 $ 0.00
241322

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003532

MFG date: Jun, 2003

Asset : T-106445-0

DUT : 64

1 $ 0.00
241323

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004905

MFG date: Jun, 2004

Asset : T-104553-0

DUT : 64

1 $ 0.00
241324

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004906

MFG date: Jun, 2004

Asset : T-104555-0

DUT : 64

1 $ 0.00
241325

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004911

MFG date: Jul, 2004

Asset : T-106900-0

DUT : 64

1 $ 0.00
241326

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004912

MFG date: Jul, 2004

Asset : T-104593-0

DUT : 64

1 $ 0.00
241327

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004915

MFG date: Jul, 2004

Asset : T-106898-0

DUT : 64

1 $ 0.00
232904

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004804

MFG date: May, 2004

Asset : T-106925

DUT : 64

1 $ 33,014.55
232907

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003069

MFG date: Dec, 2002

Asset : T-106919

DUT : 64

1 $ 33,201.47
232905

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004916

MFG date: Aug, 2004

Asset : T-106937

DUT : 64

1 $ 33,974.62
232906

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810005201

MFG date: Aug, 2004

Asset : T-106939

DUT : 64

1 $ 33,974.62
232903

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004617

MFG date: Apr, 2004

Asset : T-106924

DUT : 64

1 $ 33,974.62
232908

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003768

MFG date: Jun, 2003

Asset : T-106921

DUT : 64

1 $ 35,893.41
232909

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810007395

MFG date: Sep, 2001

Asset : T-107056

DUT : 64

1 $ 37,715.78
232911

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004903

MFG date: Sep, 2003

Asset : T-107655

DUT : 64

1 $ 75,598.81
232902

Advantest  

M6751AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6751AD Handler:

Brand: Advantest

Model: M6751AD 

Serial No.: DA891518M

MFG date: Feb, 2001

Asset : T-103053

DUT : 32

1 $ 21,973.43
232860

Advantest  

T5371 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5371 Memory Tester:

Brand: Advantest

Model: T5371 

Serial No.: 310016752

MFG date: Feb, 2001

Asset : T-103132

DUT : 32

1 $ 63,356.78
241306

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054105

MFG date: October, 2002

Asset : T-104293-0

DUT : 64

1 $ 0.00
241307

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054181

MFG date: December, 2002

Asset : T-104295-0

DUT : 64

1 $ 0.00
241308

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054183

MFG date: December, 2002

Asset : T-104299-0

DUT : 64

1 $ 0.00
241309

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310056946

MFG date: December, 2002

Asset : T-104379-0

DUT : 64

1 $ 0.00
241310

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310071448

MFG date: April, 2003

Asset : T-106442-0

DUT : 64

1 $ 0.00
241311

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310067878

MFG date: June, 2003

Asset : T-106444-0

DUT : 64

1 $ 0.00
241312

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310123904

MFG date: June, 2004

Asset : T-104590-0

DUT : 64

1 $ 0.00
241313

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310133956

MFG date: June, 2004

Asset : T-104591-0

DUT : 64

1 $ 0.00
241314

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310135605

MFG date: July, 2004

Asset : T-106903-0

DUT : 64

1 $ 0.00
241315

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310135606

MFG date: July, 2004

Asset : T-104592-0

DUT : 64

1 $ 0.00
241316

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310137112

MFG date: July, 2004

Asset : T-106902-0

DUT : 64

1 $ 0.00
232913

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310082128

MFG date: Sep, 2003

Asset : T-104462

DUT : 64

1 $ 36,000.00
232624

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310121923

MFG date: April, 2004

Asset : T-106922

DUT : 64

1 $ 45,000.00
232625

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310119880

MFG date: May, 2004

Asset : T-106923

DUT : 64

1 $ 45,000.00
232809

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310137114

MFG date: August, 2004

Asset : T-106938

DUT : 64

1 $ 45,000.00
232810

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310137115

MFG date: August, 2004

Asset : T-106940

DUT : 64

1 $ 45,000.00
232811

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310067878

MFG date: June, 2003

Asset : T-106920

DUT : 64

1 $ 45,000.00
232859

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310041215

MFG date: Sep, 2001

Asset : T-107054

DUT : 64

1 $ 48,000.00
232858

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054182

MFG date: Dec, 2002

Asset : T-106918

DUT : 64

1 $ 125,330.19
245275

Applied Materials  

EPI5 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT EPI CENTURA HTF (EPI5):

Software Ver: B6.30

CB1 Amps: 300A

SBC Type: V452

Flow Point Model: Nano Valve

Gas Panel Type: Configurable

Wafer Size: 200mm (with conversion kit 150mm is possible)

M-Monitor: CRT

3 Chambers ATM EPI

With digital Flow-Control of the cooling systems with interlock and passphrase (Simens PLC)

HDD was upgraded to RAID System

Tool called "EPI5"

1  
218746

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Amat P5000 CVD Tool:

P500048

8 inch tool with 4 CVD chambers.

Tool still in Production until (Planned until 3.23) 

1  
230352

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1  
204578

Orbotech  

Ultra Discovery VM 

List all items of this typeMiscellaneous Metrology Equipment

in Metrology Equipment

AOI Orbotech Ultra Discovery VM:

Simple, Intelligent, Powerful

Ultra Discovery VM delivers Simple, Intelligent and Powerful AOI performance with 10µm line/space inspection capabilities for FC-BGA, PBGA, CSP and COF production.

Delivering super clear images essential for capturing the finest defects, the system achieves outstanding AOI results with minimal effort or training, even on complicated panels. Most of manufacturers’ valuable time on the system is spent inspecting panels. Logic false calls are virtually eliminated and overall false calls are minimized saving precious verification time.

Benefits

  • High throughput and superior detection with minimal number of false calls
  • Especially designed for inspection of the finest lines down to 10μm
  • Quick set-up even for the most complicated jobs for higher productivity
  • Automation ready
  • Very high uptime
  • SIP TechnologyTM

    Push-to-Scan®:

    • A ‘no set-up’ process
    • Top AOI results with minimal effort or training
    • The easiest, user-friendly interface (GUI)
    • Full ‘Step and Repeat’ functions

    Visual Intelligence:

    Using SIP Technology, Ultra Discovery VM introduces Orbotech’s detection paradigm to the world of fine-line FC-BGA, PBGA/CSP and COF production. With the Visual Intelligence Detection Engine – now dedicated for IC substrate applications - manufacturers no longer have to choose between detection and false calls or waste time on non-critical defects. For the first time in AOI, detect all you want, and only what you want.

    Ultra Discovery VM is equipped with a super-fast optical head, which together with its dedicated IC substrate panel understanding, delivers exceptionally high throughput, superior detection and low false call rates. The optical head is specially designed for inspection of the finest lines down to 10µm. The customized professional lens, featuring unique wide angle illumination, delivers very clear images essential for capturing the finest defects.

    Visual Intelligence:

    • Full panel understanding, context-based detection engine
    • Equipped with ultra-fast sensors and powerful data processing for maximum inspection speed

       

 

1 $ 24,900.00
238645

AP&S Customized Soln  

AWP 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AP&S Wet Bench:

Tool is sold with all accessories.

Tool is currently stored in the Warehouse 

1  
245228

Tencor  

KLA-Tencor 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Archer 5-3:

CD Overlay Measurement system - Tool in production

1  
223524
ASM A412 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

ASM A412:

We are looking for a used ASM A412.

 

Please call +49 941 202 2755 if you want to offer one.

 

 

1  
213293

ASM  

Siplace CA4 

List all items of this typeAutomatic Flip Chip Bonders

in Flip Chip Bonders

ASM Siplace CA4 with 4 Siplace Wafer Systems 8inch:

SIPLACE CA4:

- High Volume Chip Assembly

- 4 Portal Microchip / SMD Hybrid Assembly system

- 4 Siplace Wafer Systems (SWS) 8" incl. 8" Wafer Expansion

- 4 Wafer Transfer Systems 

- 4 LP-Kamera (TYP34) and bonding Head C+P20

- excluding Loader

 

 

1  
242019

ATV Technologie GmbH  

PEO 602 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 602 tube furnace:

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1  
242018

ATV Technologie GmbH  

PEO 603 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 603 tube furnace :

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1  
242850

Balzers  

BAK760 

List all items of this typeElectron Beam Evaporators

in Evaporation Tools

BAK760 PC101:

evaporator Vacuum chamber: BAK 760 with accessories (vaccum pump etc.)

 

for the processing of semiconductor devices (Diode, Thyristor)

 

If there is a seriously buying interest, the technical specifications could be send

1  
242851

Kufner  

Batch Etching Basin (KOH) 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Batch Etching Basin (KOH):

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing si-wafers after grinding (KOH). Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1  
240587

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Brooks Wafer Sorter:

12" Wafer sorter with:

2x Fixload V6

Multiple IOSS Wafer ID Reader

2x Brooks Robots

2x Brooks Aligner

Greenlight Inspection Station

 

1  
236798
Buffer controller LS19 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Buffer controller LS19 scrap:

LINKING BUFFER SYSTEM LS19 scrap together with S128-S131 machine Fix Asset 

1  
241354

EDA Industries (Asia  

SM-24 FH150C 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Burn In Oven System:

Burn In Oven System

1  
244542

EDA Industries (Asia  

SM 24 FH 150 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Burn In Oven System:

Burn In Oven System

1  
237233

Suss MicroTec  

CB200 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1  
242240
chiller 5-1 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

chiller 5-1:

chiller 5-1

1 € 164,461.31
242241

Trane  

CVHG780 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

chiller 5-2:

chiller 5-2

1 € 164,461.31
242242

Trane  

CVHG780 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

chiller 5-3:

chiller 5-3

1 € 164,461.31
245179

NGK FILTECH  

MEGCON 2 

List all items of this typeWire Bonding Equipment - Other

in Wire Bonders

CO2 BUBBLER_NGK FRCII 2000ACDES_MBCO2-006:
CO2 BUBBLER_NGK FRCII 2000ACDES_MBCO2-006
1  
245178

NGK FILTECH  

MEGCON 2 

List all items of this typeWire Bonding Equipment - Other

in Wire Bonders

CO2 BUBBLER_NGK PRCII 2000ACDS_MBCO2-003:
CO2 BUBBLER_NGK PRCII 2000ACDS_MBCO2-003
1  
207199

ASYS  

BC0 01 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Conveyor ASYS BC0 01:

Conveyor ASYS BC0 01

1  
207200

ASYS  

BC0 02 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Conveyor ASYS BC0 02:

Conveyor ASYS BC0 02

1  
207198

ASYS  

TRM02 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Conveyor ASYS TRM02:

Conveyor ASYS TRM02

1  
244885

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG103:
DA_TOSOK DBD4600_TG103
1  
242288

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG106:

DA_TOSOK DBD4600_TG106 Fluxdip 

1  
242289

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG107:

DA_TOSOK DBD4600_TG107 Fluxdip 

1  
244630

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG109:

DA_TOSOK DBD4600_TG109

1  
242290

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG112:

DA_TOSOK DBD4600_TG112 Fluxdip 

1  
244628

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG45:

DA_TOSOK DBD4600_TG45

1  
244586

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG55:

DA_TOSOK DBD4600_TG55

1  
244584

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG57:

DA_TOSOK DBD4600_TG57

1  
244583

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG58:

DA_TOSOK DBD4600_TG58

1  
244588

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG59:

DA_TOSOK DBD4600_TG59

1  
244587

TEXUS CO., LTD.  

 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG60:

DA_TOSOK DBD4600_TG60

1  
244589

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG61:

DA_TOSOK DBD4600_TG61

1  
244585

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG62:

DA_TOSOK DBD4600_TG62

1  
242287

Texus DBD4600  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG75:

DA_TOSOK DBD4600_TG75 FLUXDIP with 300MM capability

1  
244627

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG76:

DA_TOSOK DBD4600_TG76

1  
244624

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG77:

DA_TOSOK DBD4600_TG77

1  
244625

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG78:

DA_TOSOK DBD4600_TG78

1  
244626

TEXUS CO., LTD.  

Texus DBD4600 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DA_TOSOK DBD4600_TG87:

DA_TOSOK DBD4600_TG87

1  
233414

Advanced Thermal Sci  

DEX-20A 

List all items of this typeOther Items and Mixed Lots

in ALL CATEGORIES

DEX-20A Temperature Control System:

3x Advanced Thermal Siences Temperature Control System DEX-2A

3  
241328
DFD650&DFD651 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DFD650&DFD651 scrap:

The machine WS-13 & WS-14 is cold down, Will be replaced by DISCO6340.

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.
9F432100047740Disco sawing machine DFD650Transfer AG0
9F432100051730Disco sawing machine DFD651200710766;07-37-D011-A-01;INTERTEC#IV-07 0901HM1191
2  
244790

Disco corporation Ja  

Disco DFD660/670 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DICER_DISCO DFD670_SM10:

DICER_DISCO DFD670_SM10

1  
245211

BESI  

EVO2200 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Die Bond Datacon 2200 evo:

Model:EVO2200:Die Attach Bonder

1 € 0.00
245213

BESI  

DB 2007 SSI plus 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Die Bonder ESEC 2007 SSI Plus:

Die Bonder ESEC 2007 SSI Plus

Type : D-350

1 € 0.00
245212

BESI  

Die Bonder 2008xP 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

Die Bonder ESEC 2008xP:

DA,ED 111,ESEC 2008 DIE BONDER

Type : D-160

1 € 0.00
248194

Nordson  

DR060 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in ALL CATEGORIES

DIMA DR 060 Dispenser:

DIMA DR 060 Dispenser

1   N*
242940

Kufner  

Batch Etching Basins 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Dip-etch wet benchs:

4x Dip-etch wet bench (1998)

 

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing bonded si-wafers up to 80mm diameter.

Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1  
244704

Disco  

DISCO6 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

DISCO SCHLEIFMASCHINE DFG 850 / DISCO 6:

Fully automatic grinder

1  
239777

Hiller GmbH  

DP484/11012/FD HILLER DECAPRESS DP 

List all items of this typeOther Items and Mixed Lots

in ALL CATEGORIES

DP484/11012/FD HILLER DECAPRESS DP:

 

    • Technic special customized for Infineon? no
    • Any damages/deficits known? no
    • Manuals existing? yes
    • Last time in production/function? 2022
    • Any upgrades / extensions? no
    • Any consumables at end of lifetime? not known
    • Any refurbishments done? no
    • Was there a significant system failure in the last three years? no
    • Used: only for test
    • Any contaminations known? Siliciumdioxid
1  
Displaying 1-100 of 243  Page  No Previous Page  Show Next Page Show Last Page


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.