Contact Us  |  Terms  |  Imprint  |  Privacy     
 Fast  FIND      full search   tips    
  Specials  |  Listings:   Offered / Wanted / Both     |  Spare Parts Home     
Serving  Our Guest Log in    Register to speed trading
ALL CATEGORIES   View   Search-by-Specs   
View All Offers Under

Wafer Fabrication Equipment


» Switch Major Category
Click an item's ID# below for its full specifications , or:

Group Offers into sub-categories under Wafer Fabrication EquipmentGroup Offers into sub-categories under Wafer Fabrication Equipment

List all 98 product types under Wafer Fabrication EquipmentList all 98 product types under Wafer Fabrication Equipment

 Download Equipment List (.xls)  See all our available offerings! 

  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
 Offered (box) or Wanted (coins)  Item ID  Short Description Product Type / Details # Price Notes
Make Model
   
237203

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Both Tubes are in good condition.

Last maintenance was in Dezember 2021.

Tube 1D was last used January 2022

Tube 2D was last used August 2022

Tool is in original condition

1  
237239

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Reactor 2 is still in Production

Both Tubes are in good condition.

Last maintenance was in Dezember 2022.

Heating Cassette from 1D is broken.

Tool is in original condition

 

1  
245275

Applied Materials  

EPI5 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT EPI CENTURA HTF (EPI5):

Software Ver: B6.30

CB1 Amps: 300A

SBC Type: V452

Flow Point Model: Nano Valve

Gas Panel Type: Configurable

Wafer Size: 200mm (with conversion kit 150mm is possible)

M-Monitor: CRT

3 Chambers ATM EPI

With digital Flow-Control of the cooling systems with interlock and passphrase (Simens PLC)

HDD was upgraded to RAID System

Tool called "EPI5"

1  
218746

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Amat P5000 CVD Tool:

P500048

8 inch tool with 4 CVD chambers.

Tool still in Production until (Planned until 3.23) 

1  
230352

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1  
238645

AP&S Customized Soln  

AWP 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AP&S Wet Bench:

Tool is sold with all accessories.

Tool is currently stored in the Warehouse 

1  
242019

ATV Technologie GmbH  

PEO 602 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 602 tube furnace:

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1  
242018

ATV Technologie GmbH  

PEO 603 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 603 tube furnace :

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1  
242850

Balzers  

BAK760 

List all items of this typeElectron Beam Evaporators

in Evaporation Tools

BAK760 PC101:

evaporator Vacuum chamber: BAK 760 with accessories (vaccum pump etc.)

 

for the processing of semiconductor devices (Diode, Thyristor)

 

If there is a seriously buying interest, the technical specifications could be send

1  
242851

Kufner  

Batch Etching Basin (KOH) 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Batch Etching Basin (KOH):

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing si-wafers after grinding (KOH). Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1  
240587

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Brooks Wafer Sorter:

12" Wafer sorter with:

2x Fixload V6

Multiple IOSS Wafer ID Reader

2x Brooks Robots

2x Brooks Aligner

Greenlight Inspection Station

 

1  
237233

Suss MicroTec  

CB200 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1  
242940

Kufner  

Batch Etching Basins 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Dip-etch wet benchs:

4x Dip-etch wet bench (1998)

 

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing bonded si-wafers up to 80mm diameter.

Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1  
240604

Axcelis/Eaton  

NV8250P 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

EATON NV8250P :

Retrofits/Upgrade:

- Z-Blok Gasbox (only 1 Gas string  available)

- Vaporizer

- Metal Reduction Kit

- AMU Xantrex PS

- Parallel Lens NV8250P

- oneDoseCup

- reduced surface Aligner

- Cryo Compressor 9600V

- timeline for sale: beginning of 2024

- without pre-vaccuum pumps

1  
210404

Suss MicroTec  

Falcon Polyimid Developer 

List all items of this typeStandard Photoresist DevTracks

in Photoresist Develop Track Systems

Fairchild Developer Ent3C:

Developer with 2 chambers for spray developing.

 

1  
240586

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Furnance:

Furnance will be sold without Reactor 2

Parts for sale:

WIP

Reactor 1 Poly

Cassetteheater

Robot Housing

Power Cabinet

 

1  
231620

Bruce (BTI)  

DSVA 24 

List all items of this typeHorizontal Diffusion Furnaces

in Diffusion Furnaces

Furnance BDF-41 Ofen 28B:

Diffusion Furnance for atmospheric oxid processes.

Tool is productive in use until 27.06.2022

1  
238965

Accretech  

PG200RM 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Grinder/Polisher:

2 of 4 Chucks are broken (vaacuum channels)

PC is repaired poorly

Spindle Z1 broken, Z2 is ok

Chuckcleaning is only partly functional

Robots 1 and 2 OK

Pump of the Vaccuum unit is broken

USV is broken

Tool was only used for polishing!

Tool will be sold with many spareparts

1  
238643

PVA TePla  

TWIN 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1  
239809

LAM Research Corp.  

2300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM 2300 Mainframe:

›1 x AC Rack

›1 x Atmospheric transfer module

›1 x Vacuum transfer module

›3 x Loadports (Ergo 200mm)

1  
224568
Mechatronic 300mm Sorter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Mechatronic 300mm Sorter:

Sort-05

Mechatronic Sorter for 200 and 300mm

With bernoulli Aligner for 8 and 12 inch and IOSS Camera.

And greenlight inspection.

With 4 Synfoniy 300mm Loadports, 2 on the front side and 2 on the backside.

1  
238644

Datacon  

2200 apm 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Multi-Chip Die Bonder:

Operations and Maintenance Manuals available.

1  
240450
NITTO Wafer Laminator 2018 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

NITTO Wafer Laminator 2018:

NITTO Wafer Laminator 2018

Nel System

Type: DR8500iii

1  
223074

Novellus Systems  

Concept Two SPEED 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Novellus Concept Two SPEED (shrink):

1 Mainframe w/ 3 Chambers 

Damages/Deficites: Chamber Turbo Pumps & HF/LF Generators 
EOL: Turbo Pumps

 

no significant failures between last 3 years

1  
238827

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

From Chamber B following Parts are missing:

  1. RF Generator
  2. RF Match
  3. Baratron
  4. Turbo/Controller

Chamber B,C,D are universal CVD etch chambers.

1  
240853

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

4x Standard SNIT Chambers

1  
242656

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A Universal CVDTeos
CHAMBER B Universal CVDTeos
CHAMBER CMark2Etch
CHAMBER DMark2Etch

The Tool is sold with 4 chambers and was productiv until August 2023

1  
242682

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A x Universal CVDTeos
CHAMBER B x Universal CVDTeos
CHAMBER Dx Universal CVDTeos

Tool is sold with three chambers and was used in cleanroom until August 2023

1  
242852

KVA GmbH  

automatisierte Si-Pellets Ätzbank 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Pellet Edge Batch Etch Tool:

Automtic dip-etch bench (2014)

 

Complete dip acid etching unit manufactured by KVA, Austria. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing semiconductor devices (Diode, Thyristor)

up to 58 mm, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1  
239644

Ramgraber  

SST 

List all items of this typeSolvent Wet Stations

in Wafer Cleaners

Ramgraber SST:

Used Configuration:

Tank 1: EKC

Tank 2: P1331

Tank 3 and 4: DMF

Tank 5: IPA

Known errors:

Filter from tank 4 is leaking

Heater 1 from tank 4 is broken

Heater 3 from tank 4 is broken

1   F*
240589

Semitool  

see attached type lable 

List all items of this typeSpin Rinse Dryers

in Wafer Cleaners

Semitool Spin Rinser Dryer (2010):

Single Spin Rinser Dryer as tabletop unit (s. attached picture). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories possible (p.e. wafercarriers and adapters)

1  
246568

Ramgraber  

see attached type lable 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2008):

Complete single-wafer spin etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

1   N*
241408

Ramgraber  

Inline-Sprühätzanlage 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Spray acid etching system (2008):

Complete spray acid etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1 € 30,000.00
218898

Unaxis  

CLC200 

List all items of this typeCluster Sputtering Tools

in Single Wafer Sputtering Tools

Sputtertool Clusterline Unaxis:

CL-MET-04

Sputtertool with 6 chambers

1   F*
245232

Accretech  

UF200A 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

TSK - 018:

Probertyp           UF200

Hinge Manipulator          Yes

Type of tester head plate             Agilent

Prober power supply rate            230V

Chuck Type (Nickel, Gold, etc.)  Gold, Karo Pattern,

Stagepin mit Bernoulli

Network/connection     Yes

APCC Auto Probe Card Changer No

Top Side Handling            No

Cleaning pad module     Yes/ Tungsten

Chiller/ Cold Option        No

Hot Option (25-180°C)   Yes

1  
245180

Accretech  

UF200A 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

TSK Prober (TSK-032):

Probertyp

UF200A

Hinge Manipulator

Yes

Type of tester head plate

Agilent

Prober power supply rate

230V

Chuck Type (Nickel, Gold, etc.)

Gold, Karo Pattern,
Stagepin mit Bernoulli

Network/connection

Yes

APCC Auto Probe Card Changer

No

Top Side Handling

No

Cleaning pad module

Yes/ Tungsten

Chiller/ Cold Option

No

Hot Option (25-180°C)

Yes

1  
240588

POWATEC  

Wafer Mounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1  
235779

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Wafer Sorter:

Brooks MTX2000/2 Wafer Sorter

2 Robots

2 Aligners

4 IOSS WID Wafer ID Reader

 

1  
243576

ISM Industrie Servic  

n.a. 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Workbench for the removing of photo resists:

Stainless steel workbench with ultrasonic bath for the removing of photoresisted silicon-wafers. The bench was built as custom-made product. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1  
241953
Yushin Demounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1  
221452
Yushin Demounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Yushin Demounter:

Glas Demount Tool for 6 and 8 inch Wafer.

Glas Output Station for 25 and 50 Slot Carriers.

 

 

1  


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.